awesome-opensource-hardware

Hardware design toolkit

A curated list of tools and frameworks for designing, synthesizing, and verifying digital hardware.

List of awesome open source hardware tools, generators, and reusable designs

GitHub

2k stars
71 watching
180 forks
Language: Python
last commit: about 2 months ago
Linked from 3 awesome lists


PDKs / Manufacturable PDKs

gf180 372 over 1 year ago
sg13g2 429 about 1 month ago
sky130 3,006 3 months ago

PDKs / Virtual PDKs

asap7 108 6 months ago
freepdk45 868 about 1 month ago
probe3.0 36 9 months ago

Compilers / Build Systems

bazelhdl 121 about 1 month ago
bender 258 3 months ago
chipyard 1,685 about 1 month ago
cocoon 38 over 1 year ago
edalize 649 about 1 month ago
flgen 15 about 2 months ago
fusesoc 1,217 about 1 month ago
hammer 258 about 1 month ago
hwtbuildsystem 7 about 2 months ago
legohdl 14 almost 3 years ago
mflowgen 237 3 months ago
siliconcompiler 868 about 1 month ago
SoCMake 8 about 1 month ago

Compilers / Circuit Compilers

abc 919 about 1 month ago
act 102 about 1 month ago
aihwkit 365 about 1 month ago
amaranth 1,592 about 2 months ago
bigspicy 38 almost 2 years ago
bsc 960 about 1 month ago
calyx 503 about 1 month ago
chisel 4,037 about 1 month ago
circt 1,695 about 1 month ago
circuitgraph 110 about 1 year ago
circuitops 72 about 1 month ago
clash 1,451 about 1 month ago
coreir 101 over 2 years ago
dfiant 82 about 1 month ago
fault 141 3 months ago
finn 770 about 1 month ago
firrtl 731 5 months ago
gamma 35 7 months ago
gamora 47 2 months ago
ghdl-yosys-plugin 311 7 months ago
halide 5,926 about 1 month ago
halide-to-hardware 80 2 months ago
hastlayer 302 2 months ago
hdl21 69 about 1 month ago
hdlconvertor 284 5 months ago
hs-to-coq 79 5 months ago
ipyxact 125 7 months ago
livehd 213 about 1 month ago
llhd 397 over 2 years ago
lsoracle 100 2 months ago
lstools 187 10 months ago
kami 143 4 months ago
magma 253 3 months ago
matchlib 261 3 months ago
matchclib_connections 36 4 months ago
mockturtle 214 3 months ago
myhdl 1,052 3 months ago
naja 70 about 1 month ago
netlist-paths 26 over 2 years ago
panda-bambu 246 3 months ago
pipelinec 609 about 1 month ago
pygears 146 over 1 year ago
pymtl3 389 about 1 month ago
pyrtl 261 about 1 month ago
pysysc 48 about 1 year ago
pyverilog 645 7 months ago
rohd 377 about 2 months ago
scip 407 about 1 month ago
silice 1,326 about 1 month ago
skidl 1,069 about 1 month ago
slang 644 about 2 months ago
sodaopt 37 7 months ago
spinalhdl 1,688 about 1 month ago
spydrnet 92 11 months ago
surelog 373 about 1 month ago
sv-parser 412 2 months ago
sv2v 571 about 1 month ago
systemc 504 about 2 months ago
systemc-compiler 256 about 1 month ago
synlig 170 about 1 month ago
tapasco 107 about 1 month ago
tce 147 2 months ago
uhdm 204 2 months ago
verible 1,403 about 1 month ago
veriloggen 307 5 months ago
veryl 544 about 1 month ago
verik 41 about 2 years ago
vlsir 28 about 1 month ago
xls 1,217 about 1 month ago
yosys 3,538 about 1 month ago

Compilers / FPGA Compilers

amf-placer 99 10 months ago
dreamplacefpga 77 3 months ago
flowtune 194 about 2 years ago
nextpnr 1,332 about 1 month ago
vtr 1,028 about 1 month ago

Compilers / Layout Compilers

align 272 about 1 month ago
autodmp 133 over 1 year ago
bag 145 about 2 years ago
coriolis
dreamplace 724 about 1 month ago
gdsfactory 560 about 1 month ago
gds3d 202 5 months ago
gdsiistl 39 over 4 years ago
gdstk 356 about 1 month ago
gdspy 353 9 months ago
ieda 332 about 1 month ago
klayout 818 about 1 month ago
kweb 25 about 2 months ago
lclayout
layout21 49 6 months ago
magic 503 about 1 month ago
magical 215 9 months ago
openroad 1,660 about 1 month ago
phidl 202 6 months ago

Design and Verification Tools / Benchmarks

big-doe-openroad 5 almost 2 years ago
bringup-bench 149 about 2 months ago
bsg_pipeclean_suite 10 about 5 years ago
corescore 142 4 months ago
epfl-benchmarks 170 5 months ago
fpga-tool-perf 102 11 months ago
opdb 24 almost 2 years ago
rdf-2020 13 about 3 years ago
sv-tests 302 about 1 month ago
verilog-eval 188 5 months ago

Design and Verification Tools / Board Design

boardview 154 6 months ago
cuflow 225 2 months ago
datasheet-scrubber 51 7 months ago
freecad 21,742 about 1 month ago
freerouting 1,280 about 1 month ago
kicad 1,971 about 1 month ago
kicanvas 669 2 months ago
librepcb 2,446 about 1 month ago
pcbflow 133 about 1 year ago

Design and Verification Tools / Digital Design

digital 4,503 3 months ago
DigSim 17 3 months ago
verilog-mode
vsrtl 90 about 1 year ago
vscode-systemverilog 130 3 months ago
vscode-teroshdl 580 2 months ago

Design and Verification Tools / Documentation

elk 259 about 1 month ago
graphviz 1,658 8 months ago
gds3d 202 5 months ago
hdelk 73 over 1 year ago
kythe 1,403 about 1 month ago
memory-layout-diagram 38 over 1 year ago
netlistsvg 648 12 months ago
netlist-viewer 40 about 1 year ago
nn-svg 5,217 about 2 months ago
pcbdraw 1,171 9 months ago
pinion 431 2 months ago
pinout 391 almost 3 years ago
sphinx 6,658 about 1 month ago
sphinx-verilog-domain 22 almost 4 years ago
sphinxcontrib-hdl-diagrams 56 over 1 year ago
symbolator 186 almost 2 years ago
undulate 35 4 months ago
wavedrom 3,030 10 months ago
wavedrompy 98 over 1 year ago

Design and Verification Tools / FPGA Design

byteman 40 about 2 years ago
icestudio 1,727 about 2 months ago
f4fpga 362 about 1 month ago
foedag 60 about 1 month ago
logik 256 about 2 months ago
openfpgaloader 1,236 about 1 month ago
rphax 16 almost 2 years ago

Design and Verification Tools / Formal Verification

boolector 336 5 months ago
cvc5 1,053 about 1 month ago
ilang 75 7 months ago
autosva 75 10 months ago
autocc 15 3 months ago
pono 82 3 months ago
sby 413 about 1 month ago
z3 10,452 about 1 month ago

Design and Verification Tools / Linters

svlint 318 4 months ago
svlint-action 7 over 1 year ago
verible 1,403 about 1 month ago
verilator 2,608 about 1 month ago

Design and Verification Tools / Register Design

gen_registers 8 about 3 years ago
rggen 341 about 2 months ago
open-register-design-tool 194 3 months ago
peakrdl 106 5 months ago
systemrdl 237 about 1 month ago

Design and Verification Tools / Schematics

d3-hwschematics 96 11 months ago
kaktus2dev 194 about 1 month ago
openplc_editor 436 about 1 month ago
oregano 215 5 months ago
qucs_s 896 about 1 month ago
hdl21schematics 14 12 months ago
xschem 341 about 1 month ago

Design and Verification Tools / Electronics Simulators

champsim 532 about 1 month ago
dromajo 219 about 2 months ago
eesim 108 about 1 month ago
essent 146 7 months ago
firesim 893 2 months ago
gem5 1,745 about 1 month ago
muchisim 53 7 months ago
ghdl 2,425 about 1 month ago
icarus
irsim 31 9 months ago
libsystemctlm-soc 226 3 months ago
logisim-evolution 5,043 about 1 month ago
lwtr4sc 6 6 months ago
ngspice
noxim 250 12 months ago
nvc 641 about 1 month ago
pysysc 48 about 1 year ago
qemu 10,574 about 1 month ago
ramulator2 256 about 1 month ago
renode 1,658 about 1 month ago
sax 75 2 months ago
simulide 203 about 3 years ago
systemc-components 100 about 1 month ago
tiny-five 52 about 1 year ago
xictools 148 about 2 months ago
xyce
verilator 2,608 about 1 month ago

Design and Verification Tools / Verification Frameworks

adc-eval 19 over 1 year ago
awsteria_infra 18 4 months ago
anasysmod 34 over 3 years ago
cocotb 1,842 about 1 month ago
cocotbext-axi 219 about 1 year ago
cocotbext-pcie 141 about 1 year ago
constrainedrandom 15 3 months ago
cvc 22 over 1 year ago
core-v-verif 458 about 1 month ago
ddr5_phy 42 10 months ago
fault 41 10 months ago
force-riscv 268 over 1 year ago
frame 31 over 1 year ago
fstdumper 16 over 1 year ago
lctime
maestro 186 9 months ago
msdsl 37 10 months ago
netgen 110 2 months ago
openplc_v3 1,135 about 1 month ago
opensta 418 about 1 month ago
opentimer 576 over 1 year ago
openvaf 132 5 months ago
osvvm 52 about 1 month ago
pcievhost 86 about 1 month ago
pyspice 666 5 months ago
pyucis 22 4 months ago
pyuvm 380 6 months ago
pyvsc 115 4 months ago
raft 23 about 1 month ago
riscv-dv 1,036 5 months ago
rohd-cosim 18 11 months ago
rohd-vf 32 11 months ago
switchboard 264 about 1 month ago
svreal 43 about 4 years ago
systemctlm-cosim-demo 131 8 months ago
sv_waveterm 9 about 1 year ago
tvip-apb 26 about 1 year ago
tvip-axi 363 7 months ago
uvvm 377 3 months ago
v2k-top 7 almost 5 years ago
vidbo 58 about 3 years ago
vunit 750 about 1 month ago

Design and Verification Tools / Physics

devsim
elmer 1,225 about 1 month ago
femwell 117 about 2 months ago
hotspot 88 about 1 year ago
meep 1,271 about 2 months ago
paraview 1,334 about 1 month ago
pact 44 6 months ago
scikit-rf 731 about 2 months ago

Design and Verification Tools / Waveform Viewers

scviewer 14 11 months ago
d3wave 61 12 months ago
gtkwave 672 3 months ago
iio-oscilloscope 267 about 1 month ago
konata 406 9 months ago
npTDMS 245 about 1 month ago
scopy 400 about 1 month ago
sigrok
simview 76 11 months ago
sootty 47 11 months ago
spyci 44 about 2 years ago
verilog-vcd-parser 90 almost 3 years ago
wavebin 77 9 months ago
waveforms-live 93 over 4 years ago

Designs & Generators / Accelerators

aes 339 3 months ago
ara 385 about 1 month ago
bfg 7 about 2 months ago
bismp 131 about 5 years ago
finn 770 about 1 month ago
fftgenerator 9 10 months ago
fpu 538 almost 2 years ago
garnet 108 about 1 month ago
gemmini 828 about 1 month ago
gplgpu 661 over 10 years ago
core_jpeg 216 almost 3 years ago
fftgenerator 9 10 months ago
h265-encoder-rtl 233 almost 2 years ago
logicnets 83 7 months ago
nngen 340 over 1 year ago
nvdla 1,763 almost 3 years ago
nyuziprocessor 2,021 2 months ago
opencgra 136 almost 2 years ago
openofdm 383 almost 2 years ago
openspike 132 almost 2 years ago
project-zipline 282 almost 2 years ago
pyfda 658 about 1 month ago
ranc 42 12 months ago
sha256 320 8 months ago
sha512 37 over 3 years ago
sha3 77 11 months ago
serpens 9 5 months ago
sextans 73 6 months ago
spiral 208 about 1 year ago
tvm-vta 258 9 months ago
verigood-ml 52 12 months ago
verigpu 852 about 2 months ago
verilog-lfsr 138 almost 2 years ago
vortex 1,269 about 1 month ago

Designs & Generators / Analog Circuits

ams_kgd 36 over 3 years ago
analog_blocks 26 over 2 years ago
openfasoc 246 3 months ago
open-pmic 26 over 3 years ago

Designs & Generators / Chip Packaging

bsg_packaging 9 11 months ago

Designs & Generators / Boards

bsg_motherboards 8 over 1 year ago
gmm7550 19 12 months ago
google-coral-baseboard 69 over 1 year ago
hardware-components 23 about 2 months ago
parallella-hw 413 almost 3 years ago

Designs & Generators / Connectivity

aib 128 4 months ago
aib-protocols 24 11 months ago
axi 1,134 about 1 month ago
axi4_aib_bridge 7 about 1 month ago
bsg_ddr3_io 5 almost 2 years ago
core_ddr3_controller 375 over 3 years ago
ctucanfd_ip_core
hdmi 1,104 12 months ago
i2c 23 over 4 years ago
idma 102 about 1 month ago
io-gen 1 about 6 years ago
litedram 384 4 months ago
liteeth 216 about 1 month ago
litescope 170 4 months ago
litepice 490 about 2 months ago
nocrouter 116 almost 7 years ago
omi_device_ice
opencapi_accel 64 5 months ago
opencapi_client 11 about 2 years ago
openserdes 144 almost 3 years ago
pymtl3-net 43 over 1 year ago
ravenoc 149 2 months ago
tnoc 160 over 2 years ago
usb3_camera 897 about 1 year ago
usb_cdc 159 10 months ago
usb_dfu 16 10 months ago
umi 142 about 2 months ago
verilog-axis 750 5 months ago
verilog-ethernet 2,351 6 months ago
verilog-i2c 557 6 months ago
verilog-uart 427 almost 2 years ago
verilog-pcie 1,162 9 months ago
verilog-wishbone 109 about 1 year ago
vis4mesh 10 12 months ago
vivado-library 584 7 months ago
wav-d2d-hw 8 over 3 years ago
wav-lpddr-hw 99 over 3 years ago
wav-slink-hw 25 over 3 years ago
wav-wlink-hw 8 about 3 years ago

Designs & Generators / CPUs

a2i 244 over 2 years ago
ara 385 about 1 month ago
black-parrot 634 about 1 month ago
cfu-playground 476 about 1 month ago
cores-swerv 830 over 1 year ago
cores-swerv-el2 252 about 1 month ago
core-v-verif 458 about 1 month ago
cva6 2,309 about 1 month ago
cve2 29 7 months ago
cv32e40s 133 3 months ago
cv32e40x 225 2 months ago
cvw 282 about 1 month ago
ibex 1,407 about 1 month ago
lizard 84 over 5 years ago
microwatt 666 5 months ago
minimax 205 9 months ago
muntjac 79 4 months ago
neorv32 1,626 about 1 month ago
openxiangshan 4,961 about 1 month ago
picorv32 3,178 7 months ago
rocket-chip 3,288 about 2 months ago
rioschip 33 about 2 years ago
serv 1,457 about 2 months ago
snitch 219 about 1 year ago
veer 252 about 1 month ago
vroom 481 5 months ago

Designs & Generators / FPGA Architectures

fabulous 149 2 months ago
fabric_team 3 over 3 years ago
openfpga 853 about 1 month ago
prga 197 5 months ago

Designs & Generators / Libraries

basejump_stl 531 about 1 month ago
basic_verilog 1,671 2 months ago
berkeley-hardfloat 296 4 months ago
common_cells 531 about 1 month ago
cvfpu 440 3 months ago
hdl 1,536 about 1 month ago
lambdalib 23 about 1 month ago
lambdapdk 32 about 1 month ago
libsv 23 8 months ago
mathlib 12 over 1 year ago
oh 1,206 9 months ago
Open Logic 373 about 1 month ago
pztb-core 7 6 months ago
pzbcm 34 about 2 months ago
rohd-hcl 81 about 1 month ago
vlsiffra 110 over 1 year ago

Designs & Generators / Memory

core_axi_cache 47 over 3 years ago
cv-hpdcache 62 about 1 month ago
bsg_fakeram 34 about 2 years ago
huancun 68 about 1 month ago
openram 845 2 months ago
lake 21 about 1 month ago

Designs & Generators / Systems

caliptra 242 about 1 month ago
caliptra-rtl 76 about 1 month ago
beagle_sdr_gps 486 about 2 months ago
bsg_manycore 235 about 1 month ago
cep 21 4 months ago
esp 349 about 1 month ago
falcon 255 over 1 year ago
hero 95 over 1 year ago
litex 3,063 about 1 month ago
openfasoc 246 3 months ago
openpiton 651 3 months ago
opentitan 2,612 about 1 month ago
openwifi-hw 707 about 2 months ago
pulp 458 about 2 months ago
pulpissimo 392 3 months ago
rose 36 4 months ago
senseq 34 about 2 years ago
verilogboy 467 about 2 years ago
wulpus 67 2 months ago
x-heep 154 2 months ago

Designs & Generators / Boards

artix-dc-scm 49 about 1 year ago
arty-mpw-tester 3 5 months ago
fomu 214 about 2 years ago
icebreaker 584 3 months ago
lpddr5-testbed 1 11 months ago
PicoEVB 248 almost 3 years ago
qomu-dev-board 33 almost 4 years ago
scalenode-cm4-baseboard 143 10 months ago
sodimm-ddr5-tester 11 3 months ago

Education / Analog Design

book-on-mos-stagse 340 5 months ago
SiliWiz

Education / Digital Design

cornell-ece4750
cornell-ece5745
stanford-ee272a
stanford-ee272b

Other Awesome Lists

ben-marshall 497 over 1 year ago
computer-engineering-resources 470 about 2 months ago
delftopenhardware 470 about 1 year ago
drom 978 5 months ago
hdl 140 about 1 month ago
kicad-3rd-party-tools 759 3 months ago
mattvenn 296 almost 2 years ago
pkuzjx 100 about 5 years ago
semiconduoctor-startups 497 about 1 month ago

Backlinks from these awesome lists:

More related projects: