sphinx-verilog-domain

Sphinx domain to allow integration of Verilog / SystemVerilog documentation into Sphinx.

GitHub

21 stars
15 watching
7 forks
Language: Python
last commit: over 3 years ago
Linked from 1 awesome list

hdlrtlsphinxsphinx-domainsphinx-extensionsystemverilogverilogverilog-library

Backlinks from these awesome lists: