Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

GitHub

357 stars
26 watching
68 forks
Language: C++
last commit: 1 day ago
Linked from 3 awesome lists

antlrantlr4-grammarelaborationlinterparserparser-astpreprocessorpython-apisystemveriloguvmverilogvpivpi-apivpi-standard

Backlinks from these awesome lists: