Analog_blocks

Design of Analog Blocks in Skywaters 130nm meeting corners: different flavors of OTA, BandGap, LDO.

GitHub

24 stars
2 watching
5 forks
Language: Python
last commit: about 2 years ago
Linked from 1 awesome list


Backlinks from these awesome lists: