awesome-opensource-hardware
List of awesome open source hardware tools, generators, and reusable designs
2k stars
69 watching
172 forks
Language: Python
last commit: about 1 month ago
Linked from 3 awesome lists
PDKs / Manufacturable PDKs | |||
gf180 | 364 | over 1 year ago | |
sg13g2 | 381 | 3 days ago | |
sky130 | 2,962 | about 1 year ago | |
PDKs / Virtual PDKs | |||
asap7 | 84 | 3 months ago | |
freepdk45 | 848 | 1 day ago | |
probe3.0 | 34 | 6 months ago | |
Compilers / Build Systems | |||
bazelhdl | 114 | 3 days ago | |
bender | 232 | about 1 month ago | |
chipyard | 1,588 | 2 days ago | |
cocoon | 38 | about 1 year ago | |
edalize | 624 | about 1 month ago | |
flgen | 14 | about 1 month ago | |
fusesoc | 1,172 | 3 days ago | |
hammer | 252 | 9 days ago | |
hwtbuildsystem | 6 | 4 months ago | |
legohdl | 12 | over 2 years ago | |
mflowgen | 225 | about 2 months ago | |
siliconcompiler | 848 | 1 day ago | |
Compilers / Circuit Compilers | |||
abc | 891 | 4 days ago | |
act | 99 | 1 day ago | |
aihwkit | 351 | 15 days ago | |
amaranth | 1,542 | 15 days ago | |
bigspicy | 36 | over 1 year ago | |
bsc | 938 | 29 days ago | |
calyx | 486 | 1 day ago | |
chisel | 3,937 | 1 day ago | |
circt | 1,642 | 1 day ago | |
circuitgraph | 108 | 11 months ago | |
circuitops | 68 | 9 months ago | |
clash | 1,428 | 2 days ago | |
coreir | 100 | over 2 years ago | |
dfiant | 76 | 1 day ago | |
fault | 133 | 5 days ago | |
finn | 723 | 2 days ago | |
firrtl | 720 | about 2 months ago | |
gamma | 32 | 3 months ago | |
gamora | 45 | 3 months ago | |
ghdl-yosys-plugin | 304 | 3 months ago | |
halide | 5,861 | 4 days ago | |
halide-to-hardware | 77 | 3 days ago | |
hastlayer | 301 | about 2 months ago | |
hdl21 | 67 | 29 days ago | |
hdlconvertor | 281 | about 1 month ago | |
hs-to-coq | 77 | about 1 month ago | |
ipyxact | 122 | 4 months ago | |
livehd | 204 | 13 days ago | |
llhd | 392 | over 2 years ago | |
lsoracle | 94 | about 1 month ago | |
lstools | 178 | 6 months ago | |
kami | 141 | 12 days ago | |
magma | 244 | 4 months ago | |
matchlib | 255 | 10 days ago | |
matchclib_connections | 35 | 30 days ago | |
mockturtle | 207 | 9 days ago | |
myhdl | 1,034 | about 2 months ago | |
naja | 62 | 1 day ago | |
netlist-paths | 26 | over 2 years ago | |
panda-bambu | 239 | 2 days ago | |
pipelinec | 588 | 11 days ago | |
pygears | 148 | over 1 year ago | |
pymtl3 | 373 | about 2 months ago | |
pyrtl | 254 | about 2 months ago | |
pysysc | 48 | 9 months ago | |
pyverilog | 619 | 4 months ago | |
rohd | 372 | 18 days ago | |
scip | 392 | 1 day ago | |
silice | 1,287 | 25 days ago | |
skidl | 1,044 | about 1 month ago | |
slang | 597 | 2 days ago | |
sodaopt | 33 | 3 months ago | |
spinalhdl | 1,632 | 2 days ago | |
spydrnet | 90 | 7 months ago | |
surelog | 357 | 1 day ago | |
sv-parser | 397 | 3 days ago | |
sv2v | 541 | 6 days ago | |
systemc | 475 | 2 months ago | |
systemc-compiler | 245 | 10 days ago | |
synlig | 160 | 1 day ago | |
tapasco | 105 | 3 days ago | |
tce | 143 | 5 months ago | |
uhdm | 193 | 5 days ago | |
verible | 1,336 | 1 day ago | |
veriloggen | 306 | about 2 months ago | |
veryl | 477 | 12 days ago | |
verik | 41 | almost 2 years ago | |
vlsir | 27 | about 2 months ago | |
xls | 1,195 | 1 day ago | |
yosys | 3,420 | 2 days ago | |
Compilers / FPGA Compilers | |||
amf-placer | 95 | 7 months ago | |
dreamplacefpga | 73 | 4 days ago | |
flowtune | 177 | almost 2 years ago | |
nextpnr | 1,287 | 1 day ago | |
vtr | 1,003 | 1 day ago | |
Compilers / Layout Compilers | |||
align | 264 | 18 days ago | |
autodmp | 125 | about 1 year ago | |
bag | 139 | almost 2 years ago | |
coriolis | |||
dreamplace | 683 | 14 days ago | |
gdsfactory | 506 | 2 days ago | |
gds3d | 195 | about 2 months ago | |
gdsiistl | 36 | over 4 years ago | |
gdstk | 336 | about 1 month ago | |
gdspy | 349 | 6 months ago | |
ieda | 305 | 6 days ago | |
klayout | 781 | 2 days ago | |
kweb | 24 | about 2 months ago | |
lclayout | |||
layout21 | 47 | 2 months ago | |
magic | 472 | 1 day ago | |
magical | 209 | 5 months ago | |
openroad | 1,529 | 1 day ago | |
phidl | 194 | 2 months ago | |
Design and Verification Tools / Benchmarks | |||
big-doe-openroad | 5 | over 1 year ago | |
bringup-bench | 113 | 4 months ago | |
bsg_pipeclean_suite | 10 | over 4 years ago | |
corescore | 135 | 26 days ago | |
epfl-benchmarks | 161 | about 1 month ago | |
fpga-tool-perf | 101 | 7 months ago | |
opdb | 21 | over 1 year ago | |
rdf-2020 | 13 | over 2 years ago | |
sv-tests | 286 | 1 day ago | |
verilog-eval | 162 | about 1 month ago | |
Design and Verification Tools / Board Design | |||
boardview | 152 | 2 months ago | |
cuflow | 220 | 10 months ago | |
datasheet-scrubber | 50 | 3 months ago | |
freecad | 19,326 | 5 days ago | |
freerouting | 1,223 | 3 days ago | |
kicad | 1,875 | 1 day ago | |
kicanvas | 619 | 4 months ago | |
librepcb | 2,382 | 3 days ago | |
pcbflow | 121 | 9 months ago | |
Design and Verification Tools / Digital Design | |||
digital | 4,320 | 29 days ago | |
DigSim | 15 | 4 months ago | |
verilog-mode | |||
vsrtl | 88 | 11 months ago | |
vscode-systemverilog | 126 | 25 days ago | |
vscode-teroshdl | 544 | 1 day ago | |
Design and Verification Tools / Documentation | |||
elk | 250 | about 1 month ago | |
graphviz | 1,625 | 5 months ago | |
gds3d | 195 | about 2 months ago | |
hdelk | 72 | over 1 year ago | |
kythe | 1,336 | 1 day ago | |
memory-layout-diagram | 38 | about 1 year ago | |
netlistsvg | 627 | 8 months ago | |
netlist-viewer | 36 | 11 months ago | |
nn-svg | 4,597 | 19 days ago | |
pcbdraw | 1,138 | 5 months ago | |
pinion | 422 | about 1 month ago | |
pinout | 377 | over 2 years ago | |
sphinx | 6,446 | 5 days ago | |
sphinx-verilog-domain | 21 | over 3 years ago | |
sphinxcontrib-hdl-diagrams | 53 | about 1 year ago | |
symbolator | 179 | over 1 year ago | |
undulate | 35 | 16 days ago | |
wavedrom | 2,932 | 6 months ago | |
wavedrompy | 97 | over 1 year ago | |
Design and Verification Tools / FPGA Design | |||
byteman | 38 | almost 2 years ago | |
icestudio | 1,699 | about 1 month ago | |
f4fpga | 352 | about 2 months ago | |
foedag | 58 | 2 days ago | |
logik | 254 | 12 days ago | |
openfpgaloader | 1,179 | 3 days ago | |
rphax | 14 | over 1 year ago | |
Design and Verification Tools / Formal Verification | |||
boolector | 335 | about 1 month ago | |
cvc5 | 1,010 | 1 day ago | |
ilang | 75 | 3 months ago | |
autosva | 72 | 6 months ago | |
autocc | 11 | about 1 year ago | |
pono | 68 | 3 days ago | |
sby | 390 | 8 days ago | |
z3 | 10,223 | 1 day ago | |
Design and Verification Tools / Linters | |||
svlint | 304 | 22 days ago | |
svlint-action | 7 | about 1 year ago | |
verible | 1,336 | 1 day ago | |
verilator | 2,481 | 1 day ago | |
Design and Verification Tools / Register Design | |||
gen_registers | 7 | almost 3 years ago | |
rggen | 319 | 3 months ago | |
open-register-design-tool | 192 | about 1 year ago | |
peakrdl | 91 | about 1 month ago | |
systemrdl | 228 | about 1 month ago | |
Design and Verification Tools / Schematics | |||
d3-hwschematics | 93 | 7 months ago | |
kaktus2dev | 192 | 2 days ago | |
openplc_editor | 415 | 18 days ago | |
oregano | 214 | about 1 month ago | |
qucs_s | 845 | 3 days ago | |
hdl21schematics | 14 | 8 months ago | |
xschem | 317 | 2 days ago | |
Design and Verification Tools / Electronics Simulators | |||
champsim | 500 | 2 days ago | |
dromajo | 210 | 24 days ago | |
eesim | 102 | 5 days ago | |
essent | 135 | 4 months ago | |
firesim | 869 | 5 days ago | |
gem5 | 1,625 | 2 days ago | |
muchisim | 44 | 3 months ago | |
ghdl | 2,348 | 3 days ago | |
icarus | |||
irsim | 30 | 5 months ago | |
libsystemctlm-soc | 213 | 5 months ago | |
logisim-evolution | 4,774 | 5 days ago | |
lwtr4sc | 5 | 3 months ago | |
ngspice | |||
noxim | 230 | 9 months ago | |
nvc | 632 | 4 days ago | |
pysysc | 48 | 9 months ago | |
qemu | 10,216 | 2 days ago | |
ramulator2 | 220 | 3 months ago | |
renode | 1,567 | 2 days ago | |
sax | 62 | about 2 months ago | |
simulide | 191 | almost 3 years ago | |
systemc-components | 86 | 3 days ago | |
tiny-five | 50 | 11 months ago | |
xictools | 143 | 1 day ago | |
xyce | 389 | 2 months ago | |
verilator | 2,481 | 1 day ago | |
Design and Verification Tools / Verification Frameworks | |||
adc-eval | 18 | over 1 year ago | |
awsteria_infra | 16 | 9 days ago | |
anasysmod | 34 | about 3 years ago | |
cocotb | 1,749 | 11 days ago | |
cocotbext-axi | 208 | 11 months ago | |
cocotbext-pcie | 136 | 10 months ago | |
constrainedrandom | 14 | 4 months ago | |
cvc | 21 | over 1 year ago | |
core-v-verif | 430 | 11 days ago | |
ddr5_phy | 41 | 7 months ago | |
fault | 41 | 7 months ago | |
force-riscv | 259 | 12 months ago | |
frame | 28 | 12 months ago | |
fstdumper | 15 | about 1 year ago | |
lctime | |||
maestro | 179 | 6 months ago | |
msdsl | 37 | 6 months ago | |
netgen | 106 | 1 day ago | |
openplc_v3 | 1,075 | 10 days ago | |
opensta | 404 | 3 days ago | |
opentimer | 552 | over 1 year ago | |
openvaf | 123 | about 2 months ago | |
osvvm | 49 | about 1 month ago | |
pcievhost | 81 | 6 days ago | |
pyspice | 651 | about 2 months ago | |
pyucis | 21 | 7 days ago | |
pyuvm | 365 | 3 months ago | |
pyvsc | 113 | 13 days ago | |
raft | 19 | 3 months ago | |
riscv-dv | 1,007 | about 1 month ago | |
rohd-cosim | 17 | 7 months ago | |
rohd-vf | 33 | 7 months ago | |
switchboard | 255 | 11 days ago | |
svreal | 42 | over 3 years ago | |
systemctlm-cosim-demo | 117 | 4 months ago | |
sv_waveterm | 8 | 11 months ago | |
tvip-apb | 23 | 11 months ago | |
tvip-axi | 354 | 3 months ago | |
uvvm | 361 | 16 days ago | |
v2k-top | 7 | over 4 years ago | |
vidbo | 57 | almost 3 years ago | |
vunit | 722 | 19 days ago | |
Design and Verification Tools / Physics | |||
devsim | |||
elmer | 1,176 | 3 days ago | |
femwell | 108 | 2 days ago | |
hotspot | 77 | 11 months ago | |
meep | 1,211 | 19 days ago | |
paraview | 1,293 | 1 day ago | |
pact | 43 | 2 months ago | |
scikit-rf | 715 | 8 days ago | |
Design and Verification Tools / Waveform Viewers | |||
scviewer | 12 | 8 months ago | |
d3wave | 58 | 9 months ago | |
gtkwave | 626 | 28 days ago | |
iio-oscilloscope | 255 | 19 days ago | |
konata | 387 | 6 months ago | |
npTDMS | 235 | 19 days ago | |
scopy | 391 | 1 day ago | |
sigrok | |||
simview | 76 | 7 months ago | |
sootty | 46 | 8 months ago | |
spyci | 43 | almost 2 years ago | |
verilog-vcd-parser | 90 | over 2 years ago | |
wavebin | 70 | 5 months ago | |
waveforms-live | 93 | about 4 years ago | |
Designs & Generators / Accelerators | |||
aes | 328 | over 1 year ago | |
ara | 357 | 16 days ago | |
bfg | 7 | 3 days ago | |
bismp | 128 | almost 5 years ago | |
finn | 723 | 2 days ago | |
fftgenerator | 9 | 7 months ago | |
fpu | 521 | over 1 year ago | |
garnet | 106 | 3 days ago | |
gemmini | 785 | 5 days ago | |
gplgpu | 656 | about 10 years ago | |
core_jpeg | 204 | over 2 years ago | |
fftgenerator | 9 | 7 months ago | |
h265-encoder-rtl | 224 | over 1 year ago | |
logicnets | 81 | 4 months ago | |
nngen | 336 | 12 months ago | |
nvdla | 1,722 | over 2 years ago | |
nyuziprocessor | 1,993 | 5 months ago | |
opencgra | 132 | over 1 year ago | |
openofdm | 367 | over 1 year ago | |
openspike | 123 | over 1 year ago | |
project-zipline | 279 | over 1 year ago | |
pyfda | 646 | 2 days ago | |
ranc | 39 | 8 months ago | |
sha256 | 315 | 4 months ago | |
sha512 | 35 | over 3 years ago | |
sha3 | 76 | 7 months ago | |
serpens | 9 | about 1 month ago | |
sextans | 63 | 2 months ago | |
spiral | 202 | 10 months ago | |
tvm-vta | 251 | 6 months ago | |
verigood-ml | 50 | 9 months ago | |
verigpu | 784 | 4 months ago | |
verilog-lfsr | 135 | over 1 year ago | |
vortex | 1,200 | 2 days ago | |
Designs & Generators / Analog Circuits | |||
ams_kgd | 33 | over 3 years ago | |
analog_blocks | 24 | about 2 years ago | |
openfasoc | 233 | 2 days ago | |
open-pmic | 26 | over 3 years ago | |
Designs & Generators / Chip Packaging | |||
bsg_packaging | 8 | 7 months ago | |
Designs & Generators / Boards | |||
bsg_motherboards | 7 | about 1 year ago | |
gmm7550 | 18 | 8 months ago | |
google-coral-baseboard | 68 | about 1 year ago | |
hardware-components | 17 | 8 days ago | |
parallella-hw | 412 | over 2 years ago | |
Designs & Generators / Connectivity | |||
aib | 118 | 13 days ago | |
aib-protocols | 23 | 8 months ago | |
axi | 1,064 | 2 months ago | |
axi4_aib_bridge | 7 | over 5 years ago | |
bsg_ddr3_io | 4 | over 1 year ago | |
core_ddr3_controller | 350 | almost 3 years ago | |
ctucanfd_ip_core | |||
hdmi | 1,081 | 8 months ago | |
i2c | 20 | over 4 years ago | |
idma | 88 | 9 days ago | |
io-gen | 1 | almost 6 years ago | |
litedram | 375 | 8 days ago | |
liteeth | 208 | 8 days ago | |
litescope | 167 | 8 days ago | |
litepice | 468 | 3 days ago | |
nocrouter | 103 | over 6 years ago | |
omi_device_ice | |||
opencapi_accel | 64 | about 1 month ago | |
opencapi_client | 11 | almost 2 years ago | |
openserdes | 137 | over 2 years ago | |
pymtl3-net | 42 | about 1 year ago | |
ravenoc | 139 | over 1 year ago | |
tnoc | 152 | about 2 years ago | |
usb3_camera | 808 | 12 months ago | |
usb_cdc | 154 | 7 months ago | |
usb_dfu | 16 | 7 months ago | |
umi | 136 | 2 days ago | |
verilog-axis | 721 | about 2 months ago | |
verilog-ethernet | 2,233 | 3 months ago | |
verilog-i2c | 522 | 3 months ago | |
verilog-uart | 406 | over 1 year ago | |
verilog-pcie | 1,086 | 5 months ago | |
verilog-wishbone | 108 | 9 months ago | |
vis4mesh | 10 | 9 months ago | |
vivado-library | 563 | 3 months ago | |
wav-d2d-hw | 8 | almost 3 years ago | |
wav-lpddr-hw | 95 | about 3 years ago | |
wav-slink-hw | 25 | about 3 years ago | |
wav-wlink-hw | 8 | almost 3 years ago | |
Designs & Generators / CPUs | |||
a2i | 244 | about 2 years ago | |
ara | 357 | 16 days ago | |
black-parrot | 599 | 6 days ago | |
cfu-playground | 463 | 1 day ago | |
cores-swerv | 814 | over 1 year ago | |
cores-swerv-el2 | 246 | 1 day ago | |
core-v-verif | 430 | 11 days ago | |
cva6 | 2,229 | 1 day ago | |
cve2 | 28 | 4 months ago | |
cv32e40s | 128 | about 2 months ago | |
cv32e40x | 210 | about 2 months ago | |
cvw | 250 | 1 day ago | |
ibex | 1,350 | 4 days ago | |
lizard | 79 | about 5 years ago | |
microwatt | 654 | about 2 months ago | |
minimax | 199 | 6 months ago | |
muntjac | 75 | 23 days ago | |
neorv32 | 1,564 | 2 days ago | |
openxiangshan | 4,747 | 1 day ago | |
picorv32 | 3,079 | 3 months ago | |
rocket-chip | 3,188 | 1 day ago | |
rioschip | 31 | almost 2 years ago | |
serv | 1,402 | 3 days ago | |
snitch | 218 | 11 months ago | |
veer | 246 | 1 day ago | |
vroom | 473 | about 1 month ago | |
Designs & Generators / FPGA Architectures | |||
fabulous | 147 | 2 days ago | |
fabric_team | 3 | over 3 years ago | |
openfpga | 820 | 4 days ago | |
prga | 193 | about 2 months ago | |
Designs & Generators / Libraries | |||
basejump_stl | 505 | 2 days ago | |
basic_verilog | 1,614 | 3 months ago | |
berkeley-hardfloat | 288 | 24 days ago | |
common_cells | 501 | 1 day ago | |
cvfpu | 425 | 2 months ago | |
hdl | 1,495 | 1 day ago | |
lambdalib | 23 | 12 days ago | |
lambdapdk | 27 | 4 days ago | |
libsv | 22 | 4 months ago | |
mathlib | 11 | about 1 year ago | |
oh | 1,167 | 5 months ago | |
pztb-core | 7 | 3 months ago | |
pzbcm | 34 | 4 months ago | |
rohd-hcl | 81 | 2 days ago | |
vlsiffra | 107 | about 1 year ago | |
Designs & Generators / Memory | |||
core_axi_cache | 43 | over 3 years ago | |
cv-hpdcache | 51 | about 2 months ago | |
bsg_fakeram | 30 | over 1 year ago | |
huancun | 63 | 17 days ago | |
openram | 821 | 3 months ago | |
lake | 17 | 12 days ago | |
Designs & Generators / Systems | |||
caliptra | 119 | 9 days ago | |
caliptra-rtl | 65 | 2 days ago | |
beagle_sdr_gps | 472 | 7 days ago | |
bsg_manycore | 225 | 8 days ago | |
cep | 20 | 9 days ago | |
esp | 332 | 5 days ago | |
falcon | 242 | 12 months ago | |
hero | 93 | about 1 year ago | |
litex | 2,916 | 3 days ago | |
openfasoc | 233 | 2 days ago | |
openpiton | 629 | 2 months ago | |
opentitan | 2,529 | 2 days ago | |
openwifi-hw | 676 | 10 months ago | |
pulp | 441 | 6 months ago | |
pulpissimo | 381 | 2 months ago | |
rose | 34 | 9 days ago | |
senseq | 34 | almost 2 years ago | |
verilogboy | 459 | almost 2 years ago | |
wulpus | 57 | 5 months ago | |
x-heep | 141 | 1 day ago | |
Designs & Generators / Boards | |||
artix-dc-scm | 45 | 11 months ago | |
arty-mpw-tester | 3 | about 1 month ago | |
fomu | 213 | over 1 year ago | |
icebreaker | 563 | 4 months ago | |
lpddr5-testbed | 0 | 8 months ago | |
PicoEVB | 242 | over 2 years ago | |
qomu-dev-board | 32 | over 3 years ago | |
scalenode-cm4-baseboard | 143 | 6 months ago | |
sodimm-ddr5-tester | 8 | 4 months ago | |
Education / Analog Design | |||
book-on-mos-stagse | 328 | about 1 month ago | |
SiliWiz | |||
Education / Digital Design | |||
cornell-ece4750 | |||
cornell-ece5745 | |||
stanford-ee272a | |||
stanford-ee272b | |||
Other Awesome Lists | |||
ben-marshall | 482 | about 1 year ago | |
computer-engineering-resources | 442 | about 2 months ago | |
delftopenhardware | 439 | 9 months ago | |
drom | 945 | about 2 months ago | |
hdl | 139 | 1 day ago | |
kicad-3rd-party-tools | 746 | 3 months ago | |
mattvenn | 282 | over 1 year ago | |
pkuzjx | 98 | almost 5 years ago | |
semiconduoctor-startups | 443 | 26 days ago |