awesome-opensource-hardware

Hardware toolkit

A curated list of tools and resources for designing and building digital electronic systems

List of awesome open source hardware tools, generators, and reusable designs

GitHub

2k stars
70 watching
175 forks
Language: Python
last commit: about 12 hours ago
Linked from 3 awesome lists


PDKs / Manufacturable PDKs

gf180 370 over 1 year ago
sg13g2 406 8 days ago
sky130 2,990 24 days ago

PDKs / Virtual PDKs

asap7 99 4 months ago
freepdk45 861 6 days ago
probe3.0 36 8 months ago

Compilers / Build Systems

bazelhdl 118 14 days ago
bender 247 about 1 month ago
chipyard 1,649 9 days ago
cocoon 38 over 1 year ago
edalize 641 7 days ago
flgen 15 7 days ago
fusesoc 1,203 14 days ago
hammer 255 9 days ago
hwtbuildsystem 7 27 days ago
legohdl 14 over 2 years ago
mflowgen 232 about 1 month ago
siliconcompiler 861 6 days ago
SoCMake 8 1 day ago

Compilers / Circuit Compilers

abc 907 9 days ago
act 99 10 days ago
aihwkit 363 27 days ago
amaranth 1,572 10 days ago
bigspicy 38 over 1 year ago
bsc 954 14 days ago
calyx 500 6 days ago
chisel 3,994 4 days ago
circt 1,674 6 days ago
circuitgraph 110 about 1 year ago
circuitops 72 about 1 month ago
clash 1,442 6 days ago
coreir 101 over 2 years ago
dfiant 80 6 days ago
fault 135 19 days ago
finn 747 7 days ago
firrtl 729 3 months ago
gamma 34 5 months ago
gamora 47 14 days ago
ghdl-yosys-plugin 308 5 months ago
halide 5,902 7 days ago
halide-to-hardware 78 15 days ago
hastlayer 302 16 days ago
hdl21 69 3 months ago
hdlconvertor 280 3 months ago
hs-to-coq 78 3 months ago
ipyxact 125 5 months ago
livehd 206 7 days ago
llhd 396 over 2 years ago
lsoracle 97 13 days ago
lstools 183 8 months ago
kami 142 about 2 months ago
magma 253 about 1 month ago
matchlib 260 20 days ago
matchclib_connections 36 3 months ago
mockturtle 211 about 1 month ago
myhdl 1,048 about 2 months ago
naja 66 6 days ago
netlist-paths 26 over 2 years ago
panda-bambu 243 about 1 month ago
pipelinec 600 16 days ago
pygears 146 over 1 year ago
pymtl3 387 3 months ago
pyrtl 257 3 months ago
pysysc 48 11 months ago
pyverilog 640 5 months ago
rohd 376 about 1 month ago
scip 402 7 days ago
silice 1,303 21 days ago
skidl 1,061 6 days ago
slang 620 7 days ago
sodaopt 37 5 months ago
spinalhdl 1,667 6 days ago
spydrnet 92 9 months ago
surelog 367 7 days ago
sv-parser 408 14 days ago
sv2v 561 25 days ago
systemc 491 22 days ago
systemc-compiler 249 about 1 month ago
synlig 169 6 days ago
tapasco 106 24 days ago
tce 145 9 days ago
uhdm 202 8 days ago
verible 1,380 6 days ago
veriloggen 306 3 months ago
veryl 509 6 days ago
verik 41 almost 2 years ago
vlsir 27 3 months ago
xls 1,212 6 days ago
yosys 3,491 6 days ago

Compilers / FPGA Compilers

amf-placer 97 9 months ago
dreamplacefpga 75 23 days ago
flowtune 188 about 2 years ago
nextpnr 1,310 about 1 month ago
vtr 1,016 7 days ago

Compilers / Layout Compilers

align 267 2 months ago
autodmp 130 over 1 year ago
bag 142 almost 2 years ago
coriolis
dreamplace 705 24 days ago
gdsfactory 542 6 days ago
gds3d 201 3 months ago
gdsiistl 39 over 4 years ago
gdstk 347 14 days ago
gdspy 352 7 months ago
ieda 321 about 1 month ago
klayout 803 8 days ago
kweb 24 3 months ago
lclayout
layout21 48 4 months ago
magic 494 6 days ago
magical 213 7 months ago
openroad 1,601 7 days ago
phidl 198 4 months ago

Design and Verification Tools / Benchmarks

big-doe-openroad 5 over 1 year ago
bringup-bench 123 about 1 month ago
bsg_pipeclean_suite 10 almost 5 years ago
corescore 135 2 months ago
epfl-benchmarks 166 3 months ago
fpga-tool-perf 102 9 months ago
opdb 22 over 1 year ago
rdf-2020 13 almost 3 years ago
sv-tests 297 7 days ago
verilog-eval 179 3 months ago

Design and Verification Tools / Board Design

boardview 152 4 months ago
cuflow 223 6 days ago
datasheet-scrubber 51 5 months ago
freecad 19,832 6 days ago
freerouting 1,258 6 days ago
kicad 1,932 6 days ago
kicanvas 644 12 days ago
librepcb 2,430 6 days ago
pcbflow 130 10 months ago

Design and Verification Tools / Digital Design

digital 4,427 25 days ago
DigSim 17 about 1 month ago
verilog-mode
vsrtl 89 about 1 year ago
vscode-systemverilog 127 28 days ago
vscode-teroshdl 568 16 days ago

Design and Verification Tools / Documentation

elk 256 15 days ago
graphviz 1,645 6 months ago
gds3d 201 3 months ago
hdelk 73 over 1 year ago
kythe 1,380 6 days ago
memory-layout-diagram 38 about 1 year ago
netlistsvg 638 10 months ago
netlist-viewer 37 about 1 year ago
nn-svg 5,088 2 months ago
pcbdraw 1,162 7 months ago
pinion 427 14 days ago
pinout 388 over 2 years ago
sphinx 6,586 6 days ago
sphinx-verilog-domain 21 over 3 years ago
sphinxcontrib-hdl-diagrams 55 about 1 year ago
symbolator 185 almost 2 years ago
undulate 35 2 months ago
wavedrom 3,003 8 months ago
wavedrompy 97 over 1 year ago

Design and Verification Tools / FPGA Design

byteman 39 almost 2 years ago
icestudio 1,716 10 days ago
f4fpga 361 about 1 month ago
foedag 60 13 days ago
logik 256 7 days ago
openfpgaloader 1,216 8 days ago
rphax 16 over 1 year ago

Design and Verification Tools / Formal Verification

boolector 335 3 months ago
cvc5 1,038 6 days ago
ilang 75 5 months ago
autosva 73 8 months ago
autocc 14 27 days ago
pono 81 30 days ago
sby 406 14 days ago
z3 10,383 6 days ago

Design and Verification Tools / Linters

svlint 314 2 months ago
svlint-action 7 about 1 year ago
verible 1,380 6 days ago
verilator 2,554 6 days ago

Design and Verification Tools / Register Design

gen_registers 8 about 3 years ago
rggen 330 7 days ago
open-register-design-tool 195 about 1 month ago
peakrdl 105 3 months ago
systemrdl 235 3 months ago

Design and Verification Tools / Schematics

d3-hwschematics 94 9 months ago
kaktus2dev 194 about 1 month ago
openplc_editor 426 13 days ago
oregano 214 3 months ago
qucs_s 882 6 days ago
hdl21schematics 14 10 months ago
xschem 333 7 days ago

Design and Verification Tools / Electronics Simulators

champsim 520 6 days ago
dromajo 216 2 months ago
eesim 106 11 days ago
essent 139 5 months ago
firesim 887 10 days ago
gem5 1,692 7 days ago
muchisim 45 5 months ago
ghdl 2,388 7 days ago
icarus
irsim 30 7 months ago
libsystemctlm-soc 222 27 days ago
logisim-evolution 4,934 17 days ago
lwtr4sc 5 4 months ago
ngspice
noxim 239 10 months ago
nvc 636 7 days ago
pysysc 48 11 months ago
qemu 10,421 6 days ago
ramulator2 238 4 months ago
renode 1,617 6 days ago
sax 66 6 days ago
simulide 200 about 3 years ago
systemc-components 94 about 1 month ago
tiny-five 52 about 1 year ago
xictools 144 9 days ago
xyce
verilator 2,554 6 days ago

Design and Verification Tools / Verification Frameworks

adc-eval 19 over 1 year ago
awsteria_infra 17 about 2 months ago
anasysmod 34 over 3 years ago
cocotb 1,811 8 days ago
cocotbext-axi 213 about 1 year ago
cocotbext-pcie 139 12 months ago
constrainedrandom 15 about 1 month ago
cvc 21 over 1 year ago
core-v-verif 446 7 days ago
ddr5_phy 42 8 months ago
fault 41 8 months ago
force-riscv 265 about 1 year ago
frame 31 about 1 year ago
fstdumper 16 about 1 year ago
lctime
maestro 182 7 months ago
msdsl 37 8 months ago
netgen 109 6 days ago
openplc_v3 1,105 17 days ago
opensta 410 14 days ago
opentimer 567 over 1 year ago
openvaf 129 3 months ago
osvvm 51 7 days ago
pcievhost 84 about 1 month ago
pyspice 660 3 months ago
pyucis 21 about 2 months ago
pyuvm 372 4 months ago
pyvsc 113 about 2 months ago
raft 21 10 days ago
riscv-dv 1,020 3 months ago
rohd-cosim 18 9 months ago
rohd-vf 32 9 months ago
switchboard 262 about 1 month ago
svreal 43 almost 4 years ago
systemctlm-cosim-demo 130 6 months ago
sv_waveterm 9 about 1 year ago
tvip-apb 25 about 1 year ago
tvip-axi 362 5 months ago
uvvm 372 29 days ago
v2k-top 7 over 4 years ago
vidbo 57 almost 3 years ago
vunit 742 15 days ago

Design and Verification Tools / Physics

devsim
elmer 1,200 6 days ago
femwell 114 14 days ago
hotspot 85 about 1 year ago
meep 1,245 6 days ago
paraview 1,318 6 days ago
pact 44 4 months ago
scikit-rf 727 7 days ago

Design and Verification Tools / Waveform Viewers

scviewer 14 9 months ago
d3wave 59 10 months ago
gtkwave 656 24 days ago
iio-oscilloscope 262 14 days ago
konata 397 8 months ago
npTDMS 240 2 months ago
scopy 398 6 days ago
sigrok
simview 76 9 months ago
sootty 47 9 months ago
spyci 43 almost 2 years ago
verilog-vcd-parser 90 over 2 years ago
wavebin 76 7 months ago
waveforms-live 93 over 4 years ago

Designs & Generators / Accelerators

aes 337 about 1 month ago
ara 373 6 days ago
bfg 7 6 days ago
bismp 131 almost 5 years ago
finn 747 7 days ago
fftgenerator 9 8 months ago
fpu 529 over 1 year ago
garnet 106 6 days ago
gemmini 812 11 days ago
gplgpu 658 about 10 years ago
core_jpeg 210 over 2 years ago
fftgenerator 9 8 months ago
h265-encoder-rtl 230 over 1 year ago
logicnets 83 5 months ago
nngen 339 about 1 year ago
nvdla 1,744 over 2 years ago
nyuziprocessor 2,007 13 days ago
opencgra 135 over 1 year ago
openofdm 377 almost 2 years ago
openspike 129 almost 2 years ago
project-zipline 281 over 1 year ago
pyfda 653 10 days ago
ranc 40 10 months ago
sha256 318 6 months ago
sha512 37 over 3 years ago
sha3 76 9 months ago
serpens 9 3 months ago
sextans 69 4 months ago
spiral 206 11 months ago
tvm-vta 254 8 months ago
verigood-ml 51 10 months ago
verigpu 822 5 months ago
verilog-lfsr 137 almost 2 years ago
vortex 1,242 7 days ago

Designs & Generators / Analog Circuits

ams_kgd 36 over 3 years ago
analog_blocks 26 over 2 years ago
openfasoc 241 21 days ago
open-pmic 26 over 3 years ago

Designs & Generators / Chip Packaging

bsg_packaging 8 9 months ago

Designs & Generators / Boards

bsg_motherboards 7 about 1 year ago
gmm7550 18 10 months ago
google-coral-baseboard 69 over 1 year ago
hardware-components 20 8 days ago
parallella-hw 413 almost 3 years ago

Designs & Generators / Connectivity

aib 127 about 2 months ago
aib-protocols 24 9 months ago
axi 1,106 6 days ago
axi4_aib_bridge 7 over 5 years ago
bsg_ddr3_io 4 over 1 year ago
core_ddr3_controller 366 about 3 years ago
ctucanfd_ip_core
hdmi 1,091 10 months ago
i2c 22 over 4 years ago
idma 91 10 days ago
io-gen 1 about 6 years ago
litedram 382 about 2 months ago
liteeth 214 about 1 month ago
litescope 169 about 2 months ago
litepice 481 about 2 months ago
nocrouter 110 over 6 years ago
omi_device_ice
opencapi_accel 64 3 months ago
opencapi_client 11 about 2 years ago
openserdes 142 over 2 years ago
pymtl3-net 43 about 1 year ago
ravenoc 142 over 1 year ago
tnoc 158 about 2 years ago
usb3_camera 873 about 1 year ago
usb_cdc 157 9 months ago
usb_dfu 16 9 months ago
umi 140 10 days ago
verilog-axis 743 4 months ago
verilog-ethernet 2,306 4 months ago
verilog-i2c 546 4 months ago
verilog-uart 422 over 1 year ago
verilog-pcie 1,137 7 months ago
verilog-wishbone 109 11 months ago
vis4mesh 10 10 months ago
vivado-library 574 5 months ago
wav-d2d-hw 8 about 3 years ago
wav-lpddr-hw 98 over 3 years ago
wav-slink-hw 25 over 3 years ago
wav-wlink-hw 8 about 3 years ago

Designs & Generators / CPUs

a2i 244 over 2 years ago
ara 373 6 days ago
black-parrot 626 6 days ago
cfu-playground 472 8 days ago
cores-swerv 821 over 1 year ago
cores-swerv-el2 252 6 days ago
core-v-verif 446 7 days ago
cva6 2,283 6 days ago
cve2 29 5 months ago
cv32e40s 131 21 days ago
cv32e40x 213 15 days ago
cvw 269 6 days ago
ibex 1,377 9 days ago
lizard 84 over 5 years ago
microwatt 662 3 months ago
minimax 204 7 months ago
muntjac 78 2 months ago
neorv32 1,595 9 days ago
openxiangshan 4,843 6 days ago
picorv32 3,146 5 months ago
rocket-chip 3,257 10 days ago
rioschip 32 almost 2 years ago
serv 1,442 10 days ago
snitch 217 12 months ago
veer 252 6 days ago
vroom 479 3 months ago

Designs & Generators / FPGA Architectures

fabulous 148 12 days ago
fabric_team 3 over 3 years ago
openfpga 837 3 days ago
prga 195 3 months ago

Designs & Generators / Libraries

basejump_stl 523 6 days ago
basic_verilog 1,650 14 days ago
berkeley-hardfloat 291 2 months ago
common_cells 517 16 days ago
cvfpu 437 29 days ago
hdl 1,526 6 days ago
lambdalib 23 10 days ago
lambdapdk 28 10 days ago
libsv 23 6 months ago
mathlib 12 about 1 year ago
oh 1,188 7 months ago
Open Logic 356 6 days ago
pztb-core 7 4 months ago
pzbcm 34 8 days ago
rohd-hcl 81 9 days ago
vlsiffra 110 about 1 year ago

Designs & Generators / Memory

core_axi_cache 44 over 3 years ago
cv-hpdcache 59 20 days ago
bsg_fakeram 32 almost 2 years ago
huancun 67 2 months ago
openram 834 7 days ago
lake 18 16 days ago

Designs & Generators / Systems

caliptra 236 14 days ago
caliptra-rtl 76 6 days ago
beagle_sdr_gps 481 10 days ago
bsg_manycore 229 19 days ago
cep 21 about 2 months ago
esp 340 13 days ago
falcon 252 about 1 year ago
hero 95 about 1 year ago
litex 2,997 6 days ago
openfasoc 241 21 days ago
openpiton 643 about 1 month ago
opentitan 2,578 6 days ago
openwifi-hw 700 12 months ago
pulp 452 7 months ago
pulpissimo 388 27 days ago
rose 36 about 2 months ago
senseq 34 almost 2 years ago
verilogboy 465 almost 2 years ago
wulpus 62 10 days ago
x-heep 146 10 days ago

Designs & Generators / Boards

artix-dc-scm 48 about 1 year ago
arty-mpw-tester 3 3 months ago
fomu 215 almost 2 years ago
icebreaker 576 19 days ago
lpddr5-testbed 1 10 months ago
PicoEVB 246 almost 3 years ago
qomu-dev-board 33 over 3 years ago
scalenode-cm4-baseboard 143 8 months ago
sodimm-ddr5-tester 11 24 days ago

Education / Analog Design

book-on-mos-stagse 333 3 months ago
SiliWiz

Education / Digital Design

cornell-ece4750
cornell-ece5745
stanford-ee272a
stanford-ee272b

Other Awesome Lists

ben-marshall 493 about 1 year ago
computer-engineering-resources 458 3 months ago
delftopenhardware 459 10 months ago
drom 971 3 months ago
hdl 140 7 days ago
kicad-3rd-party-tools 751 about 1 month ago
mattvenn 295 over 1 year ago
pkuzjx 99 almost 5 years ago
semiconduoctor-startups 484 14 days ago

Backlinks from these awesome lists:

More related projects: